Search

Showing total 389 results

Search Constraints

Start Over You searched for: Search Limiters Available in Library Collection Remove constraint Search Limiters: Available in Library Collection Topic clocks Remove constraint Topic: clocks Publication Type Electronic Resources Remove constraint Publication Type: Electronic Resources Journal ieee transactions on circuits & systems. part i: regular papers Remove constraint Journal: ieee transactions on circuits & systems. part i: regular papers
389 results

Search Results

201. Architecture of a Fully Pipelined Real-Time Cellular Neural Network Emulator.

202. An RLS Tracking and Iterative Detection Engine for Mobile MIMO-OFDM Systems.

203. A Low-Power Low-Complexity Multi-Standard Digital Receiver for Joint Clock Recovery and Carrier Frequency Offset Calibration.

204. A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector.

205. Noise-Shaped Residue-Discharging Delta-Sigma ADCs With Time-Modulated Pulse Feedback.

206. Continuous-flow Parallel Bit-Reversal Circuit for MDF and MDC FFT Architectures.

207. A Low Power Localized 2T1R STT-MRAM Array With Pipelined Quad-Phase Saving Scheme for Zero Sleep Power Systems.

208. A 14 Bit 500 MS/s CMOS DAC Using Complementary Switched Current Sources and Time-Relaxed Interleaving DRRZ.

209. A 10 GS/s 6 b Time-Interleaved Partially Active Flash ADC.

210. A Fully-Integrated 77-GHz UWB Pseudo-Random Noise Radar Transceiver With a Programmable Sequence Generator in SiGe Technology.

211. A 10-Gb/s CDR With an Adaptive Optimum Loop-Bandwidth Calibrator for Serial Communication Links.

212. Evaluating Adaptive Clocking for Supply-Noise Resilience in Battery-Powered Aerial Microrobotic System-on-Chip.

213. A 10.4–16-Gb/s Reference-Less Baud-Rate Digital CDR With One-Tap DFE Using a Wide-Range FD.

214. Portable CMOS NMR System With 50-kHz IF, 10-μs Dead Time, and Frequency Tracking.

215. A 26–28-Gb/s Full-Rate Clock and Data Recovery Circuit With Embedded Equalizer in 65-nm CMOS.

216. An 8–11 Gb/s Reference-Less Bang-Bang CDR Enabled by “Phase Reset”.

217. Energy Efficient Stepwise Charging of a Capacitor Using a DC-DC Converter With Consecutive Changes of its Duty Ratio.

218. Parallel Interleaver Design for a High Throughput HSPA+/LTE Multi-Standard Turbo Decoder.

219. A Look-Ahead Clock Gating Based on Auto-Gated Flip-Flops.

220. A –21.2-dBm Dual-Channel UHF Passive CMOS RFID Tag Design.

221. A PSRR Enhancing Method for GRO TDC Based Clock Generation Systems.

222. A Band-Reject Nested-PLL Clock Cleaner Using a Tunable MEMS Oscillator.

223. Effectiveness of Leakage Power Analysis Attacks on DPA-Resistant Logic Styles Under Process Variations.

224. A 167-ps 2.34-mW Single-Cycle 64-Bit Binary Tree Comparator With Constant-Delay Logic in 65-nm CMOS.

225. FFT Architectures for Real-Valued Signals Based on Radix-2^3 and Radix-2^4 Algorithms.

226. A 0.008 \ mm^2 500 \muW 469 kS/s Frequency-to-Digital Converter Based CMOS Temperature Sensor With Process Variation Compensation.

227. A New RNS based DA Approach for Inner Product Computation.

228. Post-Manufacturing Process and Temperature Calibration of a 2-MHz On-Chip Relaxation Oscillator.

229. Double-Conversion, Noise-Cancelling Receivers Using Modulated LNTAs and Double-Layer Passive Mixers for Concurrent Signal Reception With Tuned RF Interface.

230. Continuous-Time Incremental Delta-Sigma Modulators With FIR Feedback.

231. A Compact Transformer-Based Fractional-N ADPLL in 10-nm FinFET CMOS.

232. A Novel Flow for Reducing Dynamic Power and Conditional Performance Improvement.

233. Oscillator Instability Effects in Time Interval Measurement.

234. A Reconfigurable Direct RF Receiver With Jitter Analysis and Applications.

235. Continuous Time Level Crossing Sampling ADC for Bio-Potential Recording Systems.

236. VLSI Implementation of a Multi-Mode Turbo/LDPC Decoder Architecture.

237. Analysis of Pull-in Range Limit by Charge Pump Mismatch in a Linear Phase-Locked Loop.

238. Die-to-Die Clock Synchronization for 3-D IC Using Dual Locking Mechanism.

239. A Reconfigurable SIMT Processor for Mobile Ray Tracing With Contention Reduction in Shared Memory.

240. A High-Linearity, 17 ps Precision Time-to-Digital Converter Based on a Single-Stage Vernier Delay Loop Fine Interpolation.

241. A 20 Gb/s Clock and Data Recovery With a Ping-Pong Delay Line for Unlimited Phase Shifting in 65 nm CMOS Process.

242. A 1.62 Gb/s–2.7 Gb/s Referenceless Transceiver for DisplayPort v1.1a With Weighted Phase and Frequency Detection.

243. A Novel Low Gate-Count Pipeline Topology With Multiplexer-Flip-Flops for Serial Link.

244. A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation.

245. Correcting the Effects of Mismatches in Time-Interleaved Analog Adaptive FIR Equalizers.

246. The Impact of Input-Mismatch on Flying-Adder Direct Period Synthesizer Output Jitter.

247. Performance, Metastability, and Soft-Error Robustness Trade-offs for Flip-Flops in 40 nm CMOS.

248. A 75-dB SNDR, 5-MHz Bandwidth Stage-Shared 2–2 MASH \Delta \Sigma Modulator Dissipating 16 mW Power.

249. A 4-GHz All Digital PLL With Low-Power TDC and Phase-Error Compensation.

250. A High-Throughput Radix-16 FFT Processor With Parallel and Normal Input/Output Ordering for IEEE 802.15.3c Systems.